|
|
@@ -42,15 +42,15 @@ set_global_assignment -name DEVICE EP4CE22F17C6
|
|
|
set_global_assignment -name TOP_LEVEL_ENTITY root
|
|
|
set_global_assignment -name ORIGINAL_QUARTUS_VERSION 20.1.0
|
|
|
set_global_assignment -name PROJECT_CREATION_TIME_DATE "11:51:52 OCTOBER 03, 2020"
|
|
|
-set_global_assignment -name LAST_QUARTUS_VERSION "20.1.0 Lite Edition"
|
|
|
+set_global_assignment -name LAST_QUARTUS_VERSION "20.1.1 Lite Edition"
|
|
|
set_global_assignment -name PROJECT_OUTPUT_DIRECTORY output_files
|
|
|
set_global_assignment -name MIN_CORE_JUNCTION_TEMP 0
|
|
|
set_global_assignment -name MAX_CORE_JUNCTION_TEMP 85
|
|
|
set_global_assignment -name ERROR_CHECK_FREQUENCY_DIVISOR 1
|
|
|
set_global_assignment -name NOMINAL_CORE_SUPPLY_VOLTAGE 1.2V
|
|
|
-set_global_assignment -name EDA_SIMULATION_TOOL "ModelSim-Altera (Verilog)"
|
|
|
+set_global_assignment -name EDA_SIMULATION_TOOL "ModelSim-Altera (SystemVerilog)"
|
|
|
set_global_assignment -name EDA_TIME_SCALE "1 ps" -section_id eda_simulation
|
|
|
-set_global_assignment -name EDA_OUTPUT_DATA_FORMAT "VERILOG HDL" -section_id eda_simulation
|
|
|
+set_global_assignment -name EDA_OUTPUT_DATA_FORMAT "SYSTEMVERILOG HDL" -section_id eda_simulation
|
|
|
set_global_assignment -name EDA_GENERATE_FUNCTIONAL_NETLIST OFF -section_id eda_board_design_timing
|
|
|
set_global_assignment -name EDA_GENERATE_FUNCTIONAL_NETLIST OFF -section_id eda_board_design_symbol
|
|
|
set_global_assignment -name EDA_GENERATE_FUNCTIONAL_NETLIST OFF -section_id eda_board_design_signal_integrity
|
|
|
@@ -73,8 +73,19 @@ set_location_assignment PIN_M1 -to switches[0]
|
|
|
set_location_assignment PIN_T8 -to switches[1]
|
|
|
set_location_assignment PIN_B9 -to switches[2]
|
|
|
set_location_assignment PIN_M15 -to switches[3]
|
|
|
+set_global_assignment -name POWER_PRESET_COOLING_SOLUTION "23 MM HEAT SINK WITH 200 LFPM AIRFLOW"
|
|
|
+set_global_assignment -name POWER_BOARD_THERMAL_MODEL "NONE (CONSERVATIVE)"
|
|
|
+set_global_assignment -name SYSTEMVERILOG_FILE src/fpu32/fpu32.sv
|
|
|
set_global_assignment -name SYSTEMVERILOG_FILE src/root.sv
|
|
|
set_global_assignment -name QIP_FILE src/fpu32/fpu_add.qip
|
|
|
set_global_assignment -name QIP_FILE src/fpu32/fpu_div.qip
|
|
|
+set_global_assignment -name QIP_FILE src/fpu32/fpu_mul.qip
|
|
|
set_global_assignment -name QIP_FILE src/blocks/pll.qip
|
|
|
+set_global_assignment -name EDA_TEST_BENCH_ENABLE_STATUS TEST_BENCH_MODE -section_id eda_simulation
|
|
|
+set_global_assignment -name EDA_NATIVELINK_SIMULATION_TEST_BENCH root_tb -section_id eda_simulation
|
|
|
+set_global_assignment -name EDA_TEST_BENCH_NAME root_tb -section_id eda_simulation
|
|
|
+set_global_assignment -name EDA_DESIGN_INSTANCE_NAME NA -section_id root_tb
|
|
|
+set_global_assignment -name EDA_TEST_BENCH_MODULE_NAME root_tb -section_id root_tb
|
|
|
+set_global_assignment -name EDA_TEST_BENCH_FILE src/root.sv -section_id root_tb
|
|
|
+set_global_assignment -name SOURCE_FILE db/altera_devel.cmp.rdb
|
|
|
set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top
|