| 12345678910111213141516171819202122232425 |
- #transcript on
- if {[file exists rtl_work]} {
- vdel -lib rtl_work -all
- }
- set rootdir [pwd]
- puts "Root Directory $rootdir"
- vlib rtl_work
- vmap work rtl_work
- vlog -vlog01compat -work work +incdir+${rootdir}/src/blocks ${rootdir}/src/blocks/pll.v
- vlog -vlog01compat -work work +incdir+${rootdir}/db ${rootdir}/db/pll_altpll.v
- vlog -sv -work work +incdir+${rootdir}/src ${rootdir}/src/root.sv
- vsim -t 1ps -L altera_ver -L lpm_ver -L sgate_ver -L altera_mf_ver -L altera_lnsim_ver -L cycloneive_ver -L rtl_work -L work -voptargs="+acc" root_tb
- view structure
- view signals
- add wave -position end sim:/root_tb/CLK50
- add wave -position end sim:/root_tb/de0nano_0/mclk
- add wave -position end sim:/root_tb/de0nano_0/reset
- add wave -position end sim:/root_tb/KEYS
- add wave -position end sim:/root_tb/LEDS
- add wave -position end sim:/root_tb/SWITCHS
- run 7000
|