瀏覽代碼

Fixed compiling on instruction ROM

Min 6 年之前
父節點
當前提交
f8e4f077c7
共有 1 個文件被更改,包括 3 次插入2 次删除
  1. 3 2
      src/blocks/instr_mem.sv

+ 3 - 2
src/blocks/instr_mem.sv

@@ -1,13 +1,14 @@
 module instr_rom(addr, instr);
-	parameter FILE = "";
+	parameter FILE = "";	
 	parameter WIDTH=8, LENGTH=256, OUTMUL=2;
 	parameter ADDR_WIDTH = $clog2(LENGTH);
 
 	input  wire [ADDR_WIDTH-1:0]   addr;
 	output reg  [WIDTH*OUTMUL-1:0] instr;
 	
+	initial $display("Instruction ROM %0dx%0dbit, size of %0dB loaded from %s ...", WIDTH, ADDR_WIDTH, LENGTH*WIDTH/8, FILE);
 	logic [WIDTH-1:0] rom [LENGTH-1:0];
-	initial $readmemh(FILE, rom);
+	initial if(FILE != "") $readmemh(FILE, rom);
 	initial begin
 		 $display("Instruction ROM dump");
 		 for (int i=0; i < LENGTH; i+=32) begin