adder.sv 6.8 KB

123456789101112131415161718192021222324252627282930313233343536373839404142434445464748495051525354555657585960616263646566676869707172737475767778798081828384858687888990919293949596979899100101102103104105106107108109110111112113114115116117118119120121122123124125126127128129130131132133134135136137138139140141142143144145146147148149150151152153154155156157158159160161162163164165166167168169170171172173174175176177178179180181182183184185186187188189190191192193194195196197198199200201202203204205206207208209210211212213214215216217218219220221222223224225226227228229230231232233234235236237238239240241242243244245246247248249250251252253254255256257258259260261262263264265266267268269270271272273274275276277278279280281282283284285286287288289290291292293294295296297298299300
  1. //IEEE Floating Point Adder (Single Precision)
  2. //Copyright (C) Jonathan P Dawson 2013
  3. //2013-12-12
  4. typedef enum logic [3:0] {
  5. add_unpack,
  6. add_special,
  7. add_align,
  8. add_0,
  9. add_1,
  10. add_norm_0,
  11. add_norm_1,
  12. add_round,
  13. add_pack,
  14. add_output,
  15. add_input
  16. } adder_state;
  17. module adder(
  18. clk,
  19. rst,
  20. input_a,
  21. input_b,
  22. input_stb,
  23. input_ack,
  24. output_z,
  25. output_z_stb,
  26. output_z_ack
  27. );
  28. input clk;
  29. input rst;
  30. input [31:0] input_a;
  31. input [31:0] input_b;
  32. input input_stb;
  33. output input_ack;
  34. output [31:0] output_z;
  35. output output_z_stb;
  36. input output_z_ack;
  37. reg s_output_z_stb;
  38. reg [31:0] s_output_z;
  39. reg s_input_ack;
  40. adder_state state;
  41. reg [31:0] a, b, z;
  42. reg [26:0] a_m, b_m;
  43. reg [23:0] z_m;
  44. reg [9:0] a_e, b_e, z_e;
  45. reg a_s, b_s, z_s;
  46. reg guard, round_bit, sticky;
  47. reg [27:0] sum;
  48. always_ff @(posedge clk) begin
  49. case(state)
  50. // get_a:
  51. // begin
  52. // s_input_a_ack <= 1;
  53. // if (s_input_a_ack && input_a_stb) begin
  54. // a <= input_a;
  55. // s_input_a_ack <= 0;
  56. // state <= get_b;
  57. // end
  58. // end
  59. // get_b:
  60. // begin
  61. // s_input_b_ack <= 1;
  62. // if (s_input_b_ack && input_b_stb) begin
  63. // b <= input_b;
  64. // s_input_b_ack <= 0;
  65. // state <= unpack;
  66. // end
  67. // end
  68. add_input:
  69. begin
  70. s_input_ack <= 1;
  71. if (s_input_ack && input_stb) begin
  72. a <= input_a;
  73. b <= input_b;
  74. s_input_ack <= 0;
  75. state <= add_unpack;
  76. end
  77. end
  78. add_unpack:
  79. begin
  80. a_m <= {a[22 : 0], 3'd0};
  81. b_m <= {b[22 : 0], 3'd0};
  82. a_e <= a[30 : 23] - 127;
  83. b_e <= b[30 : 23] - 127;
  84. a_s <= a[31];
  85. b_s <= b[31];
  86. state <= add_special;
  87. end
  88. add_special:
  89. begin
  90. //if a is NaN return a
  91. if (a_e == 128 && a_m != 0) begin
  92. z <= {a_s, 8'hff, a[22], a[21:0]};
  93. state <= add_output;
  94. end else if (b_e == 128 && b_m != 0) begin
  95. z <= {b_s, 8'hff, b[22:0]};
  96. state <= add_output;
  97. //if a is inf return inf
  98. end else if (a_e == 128 && a_m == 0) begin
  99. z[31] <= a_s;
  100. z[30:23] <= 255;
  101. z[22:0] <= 0;
  102. //if a is inf and signs don't match return nan
  103. if ((b_e == 128) && (a_s != b_s)) begin
  104. z[31] <= b_s;
  105. z[30:23] <= 255;
  106. z[22] <= 1;
  107. z[21:0] <= 0;
  108. end
  109. state <= add_output;
  110. //if b is inf return inf
  111. end else if (b_e == 128 && b_m == 0) begin
  112. z[31] <= b_s;
  113. z[30:23] <= 255;
  114. z[22:0] <= 0;
  115. state <= add_output;
  116. //if a is zero return b
  117. end else if ((($signed(a_e) == -127) && (a_m == 0)) && (($signed(b_e) == -127) && (b_m == 0))) begin
  118. z[31] <= a_s & b_s;
  119. z[30:23] <= b_e[7:0] + 127;
  120. z[22:0] <= b_m[26:3];
  121. state <= add_output;
  122. //if a is zero return b
  123. end else if (($signed(a_e) == -127) && (a_m == 0)) begin
  124. z[31] <= b_s;
  125. z[30:23] <= b_e[7:0] + 127;
  126. z[22:0] <= b_m[26:3];
  127. state <= add_output;
  128. //if b is zero return a
  129. end else if (($signed(b_e) == -127) && (b_m == 0)) begin
  130. z[31] <= a_s;
  131. z[30:23] <= a_e[7:0] + 127;
  132. z[22:0] <= a_m[26:3];
  133. state <= add_output;
  134. end else begin
  135. //Denormalised Number
  136. if ($signed(a_e) == -127) begin
  137. a_e <= -126;
  138. end else begin
  139. a_m[26] <= 1;
  140. end
  141. //Denormalised Number
  142. if ($signed(b_e) == -127) begin
  143. b_e <= -126;
  144. end else begin
  145. b_m[26] <= 1;
  146. end
  147. state <= add_align;
  148. end
  149. end
  150. add_align:
  151. begin
  152. if ($signed(a_e) > $signed(b_e)) begin
  153. b_e <= b_e + 1;
  154. b_m <= b_m >> 1;
  155. b_m[0] <= b_m[0] | b_m[1];
  156. end else if ($signed(a_e) < $signed(b_e)) begin
  157. a_e <= a_e + 1;
  158. a_m <= a_m >> 1;
  159. a_m[0] <= a_m[0] | a_m[1];
  160. end else begin
  161. state <= add_0;
  162. end
  163. end
  164. add_0:
  165. begin
  166. z_e <= a_e;
  167. if (a_s == b_s) begin
  168. sum <= a_m + b_m;
  169. z_s <= a_s;
  170. end else begin
  171. if (a_m >= b_m) begin
  172. sum <= a_m - b_m;
  173. z_s <= a_s;
  174. end else begin
  175. sum <= b_m - a_m;
  176. z_s <= b_s;
  177. end
  178. end
  179. state <= add_1;
  180. end
  181. add_1:
  182. begin
  183. if (sum[27]) begin
  184. z_m <= sum[27:4];
  185. guard <= sum[3];
  186. round_bit <= sum[2];
  187. sticky <= sum[1] | sum[0];
  188. z_e <= z_e + 1;
  189. end else begin
  190. z_m <= sum[26:3];
  191. guard <= sum[2];
  192. round_bit <= sum[1];
  193. sticky <= sum[0];
  194. end
  195. state <= add_norm_0;
  196. end
  197. add_norm_0:
  198. begin
  199. if (z_m[23] == 0 && $signed(z_e) > -126) begin
  200. z_e <= z_e - 1;
  201. z_m <= z_m << 1;
  202. z_m[0] <= guard;
  203. guard <= round_bit;
  204. round_bit <= 0;
  205. end else begin
  206. state <= add_norm_1;
  207. end
  208. end
  209. add_norm_1:
  210. begin
  211. if ($signed(z_e) < -126) begin
  212. z_e <= z_e + 1;
  213. z_m <= z_m >> 1;
  214. guard <= z_m[0];
  215. round_bit <= guard;
  216. sticky <= sticky | round_bit;
  217. end else begin
  218. state <= add_round;
  219. end
  220. end
  221. add_round:
  222. begin
  223. if (guard && (round_bit | sticky | z_m[0])) begin
  224. z_m <= z_m + 1;
  225. if (z_m == 24'hffffff) begin
  226. z_e <=z_e + 1;
  227. end
  228. end
  229. state <= add_pack;
  230. end
  231. add_pack:
  232. begin
  233. z[22 : 0] <= z_m[22:0];
  234. z[30 : 23] <= z_e[7:0] + 127;
  235. z[31] <= z_s;
  236. if ($signed(z_e) == -126 && z_m[23] == 0) begin
  237. z[30 : 23] <= 0;
  238. end
  239. if ($signed(z_e) == -126 && z_m[23:0] == 24'h0) begin
  240. z[31] <= 1'b0; // FIX SIGN BUG: -a + a = +0.
  241. end
  242. //if overflow occurs, return inf
  243. if ($signed(z_e) > 127) begin
  244. z[22 : 0] <= 0;
  245. z[30 : 23] <= 255;
  246. z[31] <= z_s;
  247. end
  248. state <= add_output;
  249. end
  250. add_output:
  251. begin
  252. s_output_z_stb <= 1;
  253. s_output_z <= z;
  254. if (s_output_z_stb && output_z_ack) begin
  255. s_output_z_stb <= 0;
  256. state <= add_input;
  257. end
  258. end
  259. endcase
  260. if (rst == 1) begin
  261. state <= add_input;
  262. s_input_ack <= 0;
  263. s_output_z_stb <= 0;
  264. end
  265. end
  266. assign input_ack = s_input_ack;
  267. assign output_z_stb = s_output_z_stb;
  268. assign output_z = s_output_z;
  269. endmodule