#transcript on if {[file exists rtl_work]} { vdel -lib rtl_work -all } set rootdir [pwd] puts "Root Directory $rootdir" vlib rtl_work vmap work rtl_work vlog -vlog01compat -work work +incdir+${rootdir}/src/blocks ${rootdir}/src/blocks/pll.v vlog -vlog01compat -work work +incdir+${rootdir}/db ${rootdir}/db/pll_altpll.v vlog -sv -work work +incdir+${rootdir}/src ${rootdir}/src/root.sv vsim -t 1ps -L altera_ver -L lpm_ver -L sgate_ver -L altera_mf_ver -L altera_lnsim_ver -L cycloneive_ver -L rtl_work -L work -voptargs="+acc" root_tb view structure view signals add wave -position end -label CLK50 sim:/root_tb/CLK50 add wave -position end -label MCLK sim:/root_tb/de0nano_0/mclk add wave -position end -label RESET sim:/root_tb/de0nano_0/reset add wave -position end -label KEYS sim:/root_tb/KEYS add wave -position end -label LEDS sim:/root_tb/LEDS add wave -position end -label SWITCHES sim:/root_tb/SWITCHS TreeUpdate [SetDefaultTree] WaveRestoreCursors {{Cursor 1} {0 ps} 0} quietly wave cursor active 0 configure wave -namecolwidth 150 configure wave -valuecolwidth 100 configure wave -justifyvalue left configure wave -signalnamewidth 0 configure wave -snapdistance 10 configure wave -datasetprefix 0 configure wave -rowmargin 4 configure wave -childrowmargin 2 configure wave -gridoffset 0 configure wave -gridperiod 1 configure wave -griddelta 40 configure wave -timeline 0 configure wave -timelineunits ns update WaveRestoreZoom {0 ps} {1 ns} run 7000