소스 검색

adding pipelines yet again

Oliver Jaison 4 년 전
부모
커밋
8725693d61
1개의 변경된 파일124개의 추가작업 그리고 50개의 파일을 삭제
  1. 124 50
      src/FPA_module_test.sv

+ 124 - 50
src/FPA_module_test.sv

@@ -1,5 +1,6 @@
-module floating_add #(parameter N=16, M=4)(input_1, input_2, sum, diff);
+module floating_add #(parameter N=16, M=4)(input_1, input_2, sum, diff, clk, reset);
 	input logic [N-1:0] input_1, input_2;
+	input logic clk, reset;
 	output logic [N-1:0] sum;
 	output logic [M:0] diff;
 
@@ -8,117 +9,145 @@ module floating_add #(parameter N=16, M=4)(input_1, input_2, sum, diff);
 	logic [M:0] abs;
 	logic [N-3-M:0] res;
 	
+	logic [N-1:0] D0 [7:0];
+	logic [N-1:0] Q0 [7:0];
+	logic [N-1:0] Q1 [7:0];
+	logic [N-1:0] Q2 [7:0];
+	
 	// sign_x = x[N-1]
 	// exponent_x = x[N-2:N-2-M]
 	// mantissa_x = x[N-3-M:0]
 	
+	//First pipeline stage
+	always_comb
+		begin
+			D0[0] = input_1;
+			D0[1] = input_2;
+			D0[2] = 0; // sum
+			D0[3] = 0; // diff
+			D0[4] = 0; // flag_a
+			D0[5] = 0; // flag_b
+			D0[6] = 0; // abs
+			D0[7] = 0; // res
+		end
+	pipe pipe0(.clk(clk), .reset(reset), .D(D0), .Q(Q0));
+	
+	
 	always_comb
 		begin
-			if (input_1[N-2:N-2-M] > input_2[N-2:N-2-M]) // If input 1 has the bigger exponent 
+			if (Q0[0][N-2:N-2-M] > Q0[1][N-2:N-2-M]) // If input 1 has the bigger exponent 
 				begin
 					// Flags input a as larger and calculates the absolute difference
-					flag_a = 1;
-					flag_b = 0;
-					abs = input_1[N-2:N-2-M] - input_2[N-2:N-2-M];
+					Q0[4] = 1;
+					Q0[5] = 0;
+					Q0[6] = Q0[0][N-2:N-2-M] - Q0[1][N-2:N-2-M];
 					// ASsigning overall sign of the output
-					sum[N-1] = input_1[N-1];
+					Q0[2][N-1] = Q0[0][N-1];
 					// Sets output to have the same exponent
-					sum[N-2:N-2-M] = input_1[N-2:N-2-M];
+					Q0[2][N-2:N-2-M] = Q0[0][N-2:N-2-M];
 				end
-			else if (input_2[N-2:N-2-M] > input_1[N-2:N-2-M]) // If input 2 has the bigger exponent
+			else if (Q0[1][N-2:N-2-M] > Q0[0][N-2:N-2-M]) // If input 2 has the bigger exponent
 				begin
 					// Similarly flags input b as larger and calculates the absolute difference
-					flag_a = 0;
-					flag_b = 1;
-					abs = input_2[N-2:N-2-M] - input_1[N-2:N-2-M];
+					Q0[4] = 0;
+					Q0[5] = 1;
+					Q0[6] = Q0[1][N-2:N-2-M] - Q0[0][N-2:N-2-M];
 					// ASsigning overall sign of the output
-					sum[N-1] = input_2[N-1];
+					Q0[2][N-1] = Q0[1][N-1];
 					// Sets ouput to have the same exponent
-					sum[N-2:N-2-M] = input_2[N-2:N-2-M];
+					Q0[2][N-2:N-2-M] = Q0[1][N-2:N-2-M];
 				end
 			else 
 				begin
 					// THe condition that both inputs have the same exponent
-					flag_a = 1;
-					flag_b = 1;
-					abs = 0;
+					Q0[4] = 1;
+					Q0[5] = 1;
+					Q0[6] = 0;
 					// ASsigning overall sign of the output based on size of the mantissa
-					if (input_1[N-3-M:0] >= input_2[N-3-M:0]) sum[N-1] = input_1[N-1];
-					else sum[N-1] = input_2[N-1];
-					sum[N-2:N-2-M] = input_1[N-2:N-2-M];
+					if (Q0[0][N-3-M:0] >= Q0[1][N-3-M:0]) Q0[2][N-1] = Q0[0][N-1];
+					else Q0[2][N-1] = Q0[1][N-1];
+					Q0[2][N-2:N-2-M] = Q0[0][N-2:N-2-M];
 				end
-			diff = abs;
+			Q0[3] = Q0[6];
 		end
 		
+		//Second pipeline stage 1
+		pipe pipe0(.clk(clk), .reset(reset), .D(Q0), .Q(Q1));
+		
 	always_comb
 		begin
 			// Condition for overflow is that it sets the output to the larger input
-			if (abs > 9) // Because size of mantissa is 10 bits and shifting by 10 would give 0
+			if (Q1[6] > N-2-M) // Because size of mantissa is 10 bits and shifting by 10 would give 0
 				begin
-					if (flag_a & ~flag_b) sum = input_1; // input 1 is larger and is translated to output
-					else if (~flag_a & flag_b) sum = input_2; // input 2 is larger and is translated to output
+					if (Q1[4] & ~Q1[5]) Q1[2] = Q1[0]; // input 1 is larger and is translated to output
+					else if (~Q1[4] & Q1[5]) Q1[2] = Q1[1]; // input 2 is larger and is translated to output
 					else // exponents are the same
 						begin
-							if (input_1[N-3-M:0] >= input_2[N-3-M:0]) sum = input_1;// input 1 has the bigger mantissa
-							else sum = input_2; // input 2 has the bigger mantissa
+							if (Q1[6][N-3-M:0] >= Q1[1][N-3-M:0]) Q1[2] = Q1[0];// input 1 has the bigger mantissa
+							else Q1[2] = Q1[1]; // input 2 has the bigger mantissa
 						end
 				end
 			else
 				begin
 					// Shifts the smaller input's mantissa to the right based on abs
-					if (flag_a & ~flag_b)// If input 1 has the larger exponent
+					if (Q1[4] & ~Q1[5])// If input 1 has the larger exponent
 						begin
 							// If the signs of both inputs are the same you add, otherwise you subtract
-							if (input_1[N-1] == input_2[N-1])
+							if (Q1[0][N-1] == Q1[1][N-1])
 								begin
-									res = input_1[N-3-M:0] + (input_2[N-3-M:0] >> abs-1); // Sum the mantissa
-									sum[N-3-M:0] = res;
+									Q1[7] = Q1[0][N-3-M:0] + (Q1[1][N-3-M:0] >> Q1[6]-1); // Sum the mantissa
+									Q1[2][N-3-M:0] = Q1[7];
 								end
 							else
 								begin
-									res = input_1[N-3-M:0] - (input_2[N-3-M:0] >> abs-1); // Subtract the mantissas
+									Q1[7] = Q1[0][N-3-M:0] - (Q1[1][N-3-M:0] >> Q1[6]-1); // Subtract the mantissas
 									sum[N-3-M:0] = res;
 								end
 						end
-					else if (~flag_a & flag_b)
+					else if (~Q1[4] & Q1[5])
 						begin
 							// If the signs of both inputs are the same you add, otherwise you subtract
-							if (input_1[N-1] == input_2[N-1])
+							if (Q1[0][N-1] == Q1[1][N-1])
 								begin
-									res = (input_1[N-3-M:0] >> abs-1) + input_2[N-3-M:0]; // Sum the mantissa
-									sum[N-3-M:0] = res;
+									Q1[7] = (Q1[0][N-3-M:0] >> Q1[6]-1) + Q1[1][N-3-M:0]; // Sum the mantissa
+									Q1[2][N-3-M:0] = Q1[7];
 								end
 							else
 								begin
-									res = input_2[N-3-M:0] - (input_1[N-3-M:0] >> abs-1); // Subtract the mantissas
-									sum[N-3-M:0] = res;
+									Q1[7] = Q1[1][N-3-M:0] - (Q1[0][N-3-M:0] >> Q1[6]-1); // Subtract the mantissas
+									Q1[2][N-3-M:0] = Q1[7];
 								end
 						end
 					else
 						begin 
-							if (input_1[N-1] == input_2[N-1]) // If exponents and signs equal
+							if (Q1[0][N-1] == Q1[1][N-1]) // If exponents and signs equal
 								begin
-									res = input_1[N-3-M:0] + input_2[N-3-M:0]; // Sum the mantissa
-									sum[N-3-M:0] = res;
+									Q1[7] = Q1[0][N-3-M:0] + Q1[1][N-3-M:0]; // Sum the mantissa
+									Q1[2][N-3-M:0] = Q1[7];
 								end
 							else // In this case it will be a subtraction
 								begin
-									if (input_1[N-3-M:0] > input_2[N-3-M:0]) // Which has the larger mantissa 
+									if (Q1[0][N-3-M:0] > Q1[1][N-3-M:0]) // Which has the larger mantissa 
 										begin
-											res = input_1[N-3-M:0] - input_2[N-3-M:0]; // Subtract the mantissa
-											sum[N-3-M:0] = res;
+											Q1[7] = Q1[0][N-3-M:0] - Q1[1][N-3-M:0]; // Subtract the mantissa
+											Q1[2][N-3-M:0] = Q1[7];
 										end
-									else if (input_1[N-3-M:0] < input_2[N-3-M:0])
+									else if (Q1[0][N-3-M:0] < Q1[1][N-3-M:0])
 										begin
-											res = input_2[N-3-M:0] - input_1[N-3-M:0]; // Subtract the mantissa
-											sum[N-3-M:0] = res;
+											Q1[7] = Q1[1][N-3-M:0] - Q1[0][N-3-M:0]; // Subtract the mantissa
+											Q1[2][N-3-M:0] = Q1[7];
 										end
-									else res = 0; // Both the exponent and the mantissa are equal so subtraction leads to 0
-									sum[N-3-M:0] = res;
+									else Q1[7] = 0; // Both the exponent and the mantissa are equal so subtraction leads to 0
+									Q1[2][N-3-M:0] = Q1[7];
 								end
 						end
 				end
 		end
+		
+		// Final pipeline stage 
+		pipe pipe2(.clk(clk), .reset(reset), .D(Q1), .Q(Q2));
+		assign sum = Q2[2];
+		assign diff = Q2[3];
 endmodule : floating_add
 
 
@@ -152,8 +181,8 @@ endmodule : floating_product
 
 module pipe #(parameter N=16)(clk, reset, Q, D);
 	input logic clk, reset;
-	input logic [N-1:0] D [K:0];
-	output reg [N-1:0] Q [K:0];
+	input logic [N-1:0] D;
+	output reg [N-1:0] Q;
 	reg [N-1:0] in_pipe;
 	
 	always @(posedge clk or negedge reset)
@@ -211,4 +240,49 @@ module floating_tb;
         $display("Passed %d of %d tests", num_tests-num_err, num_tests);
         $finish();
 	end
-endmodule : floating_tb
+endmodule : floating_tb
+
+
+
+module floating32_tb;
+	reg reset, clk;
+	logic [31:0] input_a, input_b, result_add, result_mult;
+
+	floating_add#(.N(32), .M(8)) add0(
+		.input_1(input_a), .input_2(input_b), .sum(result_add), .diff()
+	);
+	floating_product#(.N(32), .M(8)) mult0(
+		.input_1(input_a), .input_2(input_b), .product(result_mult)
+	);
+
+	reg [31:0] test_mem [29:0][3:0];
+
+	initial $readmemh("scripts/fp32_test.hex", test_mem);
+
+
+	initial begin
+		static int num_err = 0;
+		static int num_tests = $size(test_mem) * 2;
+
+		for (int i=0; i < $size(test_mem); i++) begin
+			input_a = test_mem[i][0];
+			input_b = test_mem[i][1];
+
+			#10;
+			if(result_add != test_mem[i][2]) begin
+				if(num_err < 20)
+					$display("FAIL ADD: %H + %H = %H, expected %H", input_a, input_b, result_add, test_mem[i][2]);
+				num_err = num_err + 1;
+			end
+
+			if(result_mult != test_mem[i][3]) begin
+				if(num_err < 20)
+					$display("FAIL MULTIPLY: %H + %H = %H, expected %H", input_a, input_b, result_mult, test_mem[i][3]);
+				num_err = num_err + 1;
+			end
+
+		end
+		$display("Passed %d of %d tests", num_tests-num_err, num_tests);
+		$finish();
+	end
+endmodule : floating32_tb